--- M6502/Orao.pm 2007/07/31 12:57:35 52 +++ M6502/Orao.pm 2007/08/02 18:01:51 103 @@ -3,15 +3,16 @@ use warnings; use strict; -use Carp; +use Carp qw/confess/; use lib './lib'; #use Time::HiRes qw(time); use File::Slurp; use Data::Dump qw/dump/; +use List::Util qw/first/; use M6502; -use base qw(Class::Accessor M6502 Screen); -__PACKAGE__->mk_accessors(qw(debug trace run_for mem_dump trace)); +use base qw(Class::Accessor M6502 Screen Prefs); +__PACKAGE__->mk_accessors(qw(booted)); =head1 NAME @@ -19,11 +20,11 @@ =head1 VERSION -Version 0.02 +Version 0.04 =cut -our $VERSION = '0.02'; +our $VERSION = '0.04'; =head1 SUMMARY @@ -31,9 +32,21 @@ =cut -=head2 init +my @kbd_ports = ( + 0x87FC,0x87FD,0x87FA,0x87FB,0x87F6,0x87F7, + 0x87EE,0x87EF,0x87DE,0x87DF,0x87BE,0x87BF, + 0x877E,0x877F,0x86FE,0x86FF,0x85FE,0x85FF, + 0x83FE,0x83FF, +); -Start emulator +=head1 FUNCTIONS + +=head2 boot + +Start emulator, open L, load initial ROM images, and render memory + + my $orao = Orao->new({}); + $orao->boot; =cut @@ -41,23 +54,32 @@ select(STDERR); $| = 1; -sub init { +sub boot { my $self = shift; warn "Orao calling upstream init\n"; - $self->SUPER::init( $self, @_ ); + $self->SUPER::init( + read => sub { $self->read( @_ ) }, + write => sub { $self->write( @_ ) }, + ); + + warn "Orao $Orao::VERSION emulation starting\n"; - warn "Orao $Orao::VERSION emulation starting\n", dump( $self ); + warn "emulating ", $#mem, " bytes of memory\n"; $self->open_screen; $self->load_rom({ 0x1000 => 'dump/SCRINV.BIN', + # should be 0x6000, but oraoemu has 2 byte prefix + 0x5FFE => 'dump/screen.dmp', 0xC000 => 'rom/BAS12.ROM', 0xE000 => 'rom/CRT12.ROM', }); - $PC = 0xDD11; # BC +# $PC = 0xDD11; # BC # $PC = 0xC274; # MC + $PC = 0xff89; + $orao = $self; # $self->prompt( 0x1000 ); @@ -66,10 +88,14 @@ $self->trace( 0 ); $self->debug( 0 ); + $self->render( @mem[ 0x6000 .. 0x7fff ] ); + if ( $self->show_mem ) { warn "rendering memory map\n"; + $self->render_mem( @mem ); + my @mmap = ( 0x0000, 0x03FF, 'nulti blok', 0x0400, 0x5FFF, 'korisnički RAM (23K)', @@ -81,26 +107,10 @@ 0xE000, 0xFFFF, 'sistemski ROM', ); - foreach my $i ( 0 .. $#mmap / 3 ) { - my $o = $i * 3; - my ( $from, $to, $desc ) = @mmap[$o,$o+1,$o+2]; - printf "%04x - %04x - %s\n", $from, $to, $desc; - for my $a ( $from .. $to ) { - if ( $a >= 0x6000 && $a < 0x8000 ) { - my $b = $self->read( $a ); - $self->vram( $a - 0x6000, $b ); - } else { - $self->read( $a ); - } - } - } - } else { warn "rendering video memory\n"; - for my $a ( 0x6000 .. 0x7fff ) { - $self->vram( $a - 0x6000, $mem[$a] ); - } + $self->render( @mem[ 0x6000 .. 0x7fff ] ); } $self->sync; @@ -109,13 +119,35 @@ #( $A, $P, $X, $Y, $S, $IPeriod ) = ( 1, 2, 3, 4, 5, 6 ); - warn "Orao init finished", + warn "Orao boot finished", $self->trace ? ' trace' : '', $self->debug ? ' debug' : '', "\n"; + M6502::reset(); + + $self->booted( 1 ); } +=head2 run + +Run interactive emulation loop + + $orao->run; + +=cut + +sub run { + my $self = shift; + + $self->show_mem( 1 ); + + $self->boot if ( ! $self->booted ); + $self->loop; +}; + +=head1 Helper functions + =head2 load_rom called to init memory and load initial rom images @@ -131,15 +163,11 @@ foreach my $addr ( sort keys %$loaded_files ) { my $path = $loaded_files->{$addr}; - $self->load_oraoemu( $path, $addr ); + $self->load_image( $path, $addr ); } } - -=head2 load_oraoemu - -=cut - +# write chunk directly into memory, updateing vram if needed sub _write_chunk { my $self = shift; my ( $addr, $chunk ) = @_; @@ -156,15 +184,32 @@ $t = $end if ( $end < $t ); warn sprintf("refresh video ram %04x-%04x\n", $f, $t); - foreach my $a ( $f .. $t ) { - $self->vram( $a - 0x6000 , $mem[ $a ] ); - } +# foreach my $a ( $f .. $t ) { +# $self->vram( $a - 0x6000 , $mem[ $a ] ); +# } + $self->render( @mem[ 0x6000 .. 0x7fff ] ); + $self->render_mem( @mem ) if $self->show_mem; } -sub load_oraoemu { +=head2 load_image + +Load binary files, ROM images and Orao Emulator files + + $orao->load_image( '/path/to/file', 0x1000 ); + +Returns true on success. + +=cut + +sub load_image { my $self = shift; my ( $path, $addr ) = @_; + if ( ! -e $path ) { + warn "ERROR: file $path doesn't exist\n"; + return; + } + my $size = -s $path || confess "no size for $path: $!"; my $buff = read_file( $path ); @@ -173,15 +218,16 @@ $addr = 0; warn sprintf "loading oraoemu 64k dump %s at %04x - %04x %02x\n", $path, $addr, $addr+$size-1, $size; $self->_write_chunk( $addr, substr($buff,2) ); - return; + return 1; } elsif ( $size == 32800 ) { $addr = 0; warn sprintf "loading oraoemu 1.3 dump %s at %04x - %04x %02x\n", $path, $addr, $addr+$size-1, $size; $self->_write_chunk( $addr, substr($buff,0x20) ); - return; + return 1; } printf "loading %s at %04x - %04x %02x\n", $path, $addr, $addr+$size-1, $size; - return $self->_write_chunk( $addr, $buff ); + $self->_write_chunk( $addr, $buff ); + return 1; my $chunk; @@ -199,6 +245,7 @@ $self->_write_chunk( $addr, $chunk ); + return 1; }; =head2 save_dump @@ -235,7 +282,11 @@ return sprintf(" %04x %s\n", $a, join(" ", map { - sprintf( "%02x", $_ ) + if ( defined($_) ) { + sprintf( "%02x", $_ ) + } else { + ' ' + } } @mem[ $a .. $a+8 ] ) ); @@ -256,11 +307,158 @@ =cut +my $keyboard = { + 0x87FC => { + 'right' => 16, + 'down' => 128, + 'up' => 192, + 'left' => 224, + 'backspace' => 224, + }, + 0x87FD => sub { + my ( $self, $key ) = @_; + if ( $key eq 'return' ) { + M6502::_write( 0xfc, 13 ); + return 0; + } elsif ( $self->key_down( 'left ctrl' ) || $self->key_down( 'right ctrl' ) ) { + return 16; + } + }, + 0x87FA => { + 'f4' => 16, + 'f3' => 128, + 'f2' => 192, + 'f1' => 224, + }, + 0x87FB => sub { + my ( $self, $key ) = @_; + if ( $key eq 'space' ) { + return 32; + } elsif ( $self->key_down( 'left shift' ) || $self->key_down( 'right shift' ) ) { + return 16; + } + }, + 0x87F6 => { + '6' => 16, + 't' => 128, + 'z' => 192, + 'r' => 224, + }, + 0x87F7 => { + '5' => 32, + '4' => 16, + }, + 0x87EE => { + '7' => 16, + 'u' => 128, + 'i' => 192, + 'o' => 224, + }, + 0x87EF => { + '8' => 32, + '9' => 16, + }, + 0x87DE => { + '1' => 16, + 'w' => 128, + 'q' => 192, + 'e' => 224, + }, + 0x87DF => { + '2' => 32, + '3' => 16, + }, + 0x87BE => { + 'm' => 16, + 'k' => 128, + 'j' => 192, + 'l' => 224, + }, + 0x87BF => { + ',' => 32, + '.' => 16, + }, + 0x877E => { + 'y' => 16, + 's' => 128, + 'a' => 192, + 'd' => 224, + }, + 0x877F => { + 'x' => 32, + 'c' => 16, + }, + 0x86FE => { + 'n' => 16, + 'g' => 128, + 'h' => 192, + 'f' => 224, + }, + 0x86FF => { + 'b' => 32, + 'v' => 16, + }, + 0x85FE => { + ';' => sub { $_[0]->key_down('left shift') ? 16 : 224 }, + '\\' => 128, + '\'' => 192, +# ';' => 224, + '8' => 16, # FIXME? + }, + 0x85FF => { + '/' => 32, + '6' => 16, # FIXME? + }, + 0x83FE => { + ';' => 16, + '[' => 128, + ']' => 192, + 'p' => 224, + '=' => 16, # FIXME? + }, + 0x83FF => { + '-' => 32, + '0' => 16, + }, +}; + +my $keyboard_none = 255; + sub read { my $self = shift; my ($addr) = @_; my $byte = $mem[$addr]; + confess sprintf("can't find memory at address %04x",$addr) unless defined($byte); warn sprintf("# Orao::read(%04x) = %02x\n", $addr, $byte) if $self->trace; + + # keyboard + + if ( first { $addr == $_ } @kbd_ports ) { + warn sprintf("keyboard port: %04x\n",$addr) if $self->trace; + my $key = $self->key_pressed; + if ( defined($key) ) { + my $ret = $keyboard_none; + my $r = $keyboard->{$addr} || confess "no definition for keyboard port found"; + if ( ref($r) eq 'CODE' ) { + $ret = $r->($self, $key); + } elsif ( $ret = $r->{$key} ) { + if ( ref($ret) eq 'CODE' ) { + $ret = $ret->($self); + warn "executed $key and got: $ret\n"; + } else { + warn sprintf("keyboard port: %04x key: '%s' code: %02x\n", $addr, $key, $ret); + } + $mem[$addr] = $ret; + warn "keypress: $key = $ret\n"; + return $ret; + } else { + warn sprintf("keyboard port: %04x unknown key: '%s'\n", $addr, $key) if $debug; + } + warn sprintf("keyboard port: %04x %s\n",$addr,dump( $r )) if $self->trace; + } + return $keyboard_none; + } + $self->mmap_pixel( $addr, 0, $byte, 0 ); return $byte; } @@ -287,7 +485,7 @@ } if ( $addr > 0xafff ) { - confess sprintf "write access %04x > afff aborting\n", $self, $addr; + confess sprintf "write access 0x%04x > 0xafff aborting\n", $addr; } $self->mmap_pixel( $addr, $byte, 0, 0 ); @@ -303,7 +501,7 @@ =head2 prompt - $orao->prompt( $address, $last_command ); + my ( $entered_line, @p ) = $orao->prompt( $address, $last_command ); =cut @@ -313,7 +511,7 @@ my $self = shift; $self->app->sync; my $a = shift; - print STDERR $self->hexdump( $a ), + print $self->hexdump( $a ), $last ? "[$last] " : '', "> "; my $in = ; @@ -321,7 +519,7 @@ warn "## prompt got: $in\n" if $self->debug; $in ||= $last; $last = $in; - return split(/\s+/, $in) if $in; + return ( $in, split(/\s+/, $in) ) if $in; } =head2 cli @@ -330,11 +528,16 @@ =cut +my $show_R = 0; + sub cli { my $self = shift; my $a = $PC || confess "no pc?"; - while ( my @v = $self->prompt( $a, $last ) ) { + my $run_for = 0; + warn $self->dump_R() if $show_R; + while ( my ($line, @v) = $self->prompt( $a, $last ) ) { my $c = shift @v; + next unless defined($c); my $v = shift @v; $v = hex($v) if $v && $v =~ m/^[0-9a-f]+$/; @v = map { hex($_) } @v; @@ -356,32 +559,37 @@ d\t\tdebug [$d] __USAGE__ - warn sprintf(" PC: %04x A:%02x P:%02x X:%02x Y:%02x S:%02x\n", $PC, $A, $P, $X, $Y, $S); + warn $self->dump_R; + $last = ''; } elsif ( $c =~ m/^e/i ) { $a = $v if defined($v); my $to = shift @v; $to = $a + 32 if ( ! $to || $to <= $a ); - my $lines = int( ($to - $a - 8) / 8 ); - printf "## m %04x %04x lines: %d\n", $a, $to, $lines; - while ( $lines ) { + $to = 0xffff if ( $to > 0xffff ); + my $lines = int( ($to - $a + 8) / 8 ); + printf "## e %04x %04x (%d bytes) lines: %d\n", $a, $to, ($to-$a), $lines; + while ( --$lines ) { print $self->hexdump( $a ); $a += 8; - $lines--; } $last = '+'; + $show_R = 0; } elsif ( $c =~ m/^\+/ ) { $a += 8; + $show_R = 0; } elsif ( $c =~ m/^\-/ ) { $a -= 8; + $show_R = 0; } elsif ( $c =~ m/^m/i ) { - $a = $v; + $a = $v if defined($v); $self->poke_code( $a, @v ); printf "poke %d bytes at %04x\n", $#v + 1, $a; $last = '+'; + $show_R = 0; } elsif ( $c =~ m/^l/i ) { my $to = shift @v || 0x1000; $a = $to; - $self->load_oraoemu( $v, $a ); + $self->load_image( $v, $a ); $last = ''; } elsif ( $c =~ m/^s/i ) { $self->save_dump( $v || 'mem.dump', @v ); @@ -389,27 +597,31 @@ } elsif ( $c =~ m/^r/i ) { $run_for = $v || 1; print "run_for $run_for instructions\n"; + $show_R = 1; last; } elsif ( $c =~ m/^(u|j)/ ) { my $to = $v || $a; printf "set pc to %04x\n", $to; $PC = $to; # remember for restart $run_for = 1; - $last = sprintf('m %04x', $to); + $last = "r $run_for"; + $show_R = 1; last; } elsif ( $c =~ m/^t/ ) { $self->trace( not $self->trace ); print "trace ", $self->trace ? 'on' : 'off', "\n"; + $last = ''; } elsif ( $c =~ m/^d/ ) { $self->debug( not $self->debug ); print "debug ", $self->debug ? 'on' : 'off', "\n"; + $last = ''; } else { - warn "# ignore $c\n"; - last; + warn "# ignored $line\n" if ($line); + $last = ''; } } - + return $run_for; } =head1 AUTHOR