--- M6502/Orao.pm 2007/07/30 18:07:29 31 +++ M6502/Orao.pm 2007/07/31 09:37:01 42 @@ -7,6 +7,8 @@ use lib './lib'; #use Time::HiRes qw(time); use File::Slurp; +use Data::Dump qw/dump/; +use M6502; use base qw(Class::Accessor M6502 Screen); __PACKAGE__->mk_accessors(qw(debug trace run_for mem_dump trace)); @@ -35,21 +37,80 @@ =cut +our $orao; + +select(STDERR); $| = 1; + sub init { my $self = shift; - warn "call upstream init\n"; - $self->SUPER::init( @_ ); + warn "Orao calling upstream init\n"; + $self->SUPER::init( $self, @_ ); warn "staring Orao $Orao::VERSION emulation\n"; $self->open_screen; - $self->load_rom; -} + $self->load_rom({ + 0x1000 => 'dump/SCRINV.BIN', + 0xC000 => 'rom/BAS12.ROM', + 0xE000 => 'rom/CRT12.ROM', + }); + + $self->load_oraoemu( 'dump/orao-1.2' ); + $self->load_oraoemu( 'dump/SCRINV.BIN', 0x1000 ); + $PC = 0x1000; + + $orao = $self; + +# $self->prompt( 0x1000 ); + + my $trace = $self->trace; + $self->trace( 0 ); + + if ( $self->show_mem ) { + + warn "rendering memory map\n"; + + my @mmap = ( + 0x0000, 0x03FF, 'nulti blok', + 0x0400, 0x5FFF, 'korisnički RAM (23K)', + 0x6000, 0x7FFF, 'video RAM', + 0x8000, 0x9FFF, 'sistemske lokacije', + 0xA000, 0xAFFF, 'ekstenzija', + 0xB000, 0xBFFF, 'DOS', + 0xC000, 0xDFFF, 'BASIC ROM', + 0xE000, 0xFFFF, 'sistemski ROM', + ); + + foreach my $i ( 0 .. $#mmap / 3 ) { + my $o = $i * 3; + my ( $from, $to, $desc ) = @mmap[$o,$o+1,$o+2]; + printf "%04x - %04x - %s\n", $from, $to, $desc; + for my $a ( $from .. $to ) { + if ( $a >= 0x6000 && $a < 0x8000 ) { + my $b = $self->read( $a ); + $self->vram( $a - 0x6000, $b ); + } else { + $self->read( $a ); + } + } + } + + } else { + + warn "rendering video memory\n"; + for my $a ( 0x6000 .. 0x7fff ) { + $self->vram( $a - 0x6000, $mem[$a] ); + } + + } + $self->sync; + $self->trace( $trace ); -my $loaded_files = { - 0xC000 => 'rom/BAS12.ROM', - 0xE000 => 'rom/CRT12.ROM', -}; + #( $A, $P, $X, $Y, $S, $IPeriod ) = ( 1, 2, 3, 4, 5, 6 ); + + warn "Orao init finished", $self->trace ? ' trace on' : '', "\n"; + +} =head2 load_rom @@ -60,13 +121,12 @@ =cut sub load_rom { - my ($self) = @_; + my ($self, $loaded_files) = @_; #my $time_base = time(); foreach my $addr ( sort keys %$loaded_files ) { my $path = $loaded_files->{$addr}; - printf "loading '%s' at %04x\n", $path, $addr; $self->load_oraoemu( $path, $addr ); } } @@ -86,17 +146,16 @@ if ( $size == 65538 ) { $addr = 0; - printf "loading oraoemu 64k dump %s at %04x - %04x %02x\n", $path, $addr, $addr+$size, $size; + warn sprintf "loading oraoemu 64k dump %s at %04x - %04x %02x\n", $path, $addr, $addr+$size-1, $size; $self->write_chunk( $addr, substr($buff,2) ); return; } elsif ( $size == 32800 ) { $addr = 0; - printf "loading oraoemu 1.3 dump %s at %04x - %04x %02x\n", $path, $addr, $addr+$size, $size; - #$self->write_chunk( $addr, substr($buff,0x20) ); - $self->poke_code( $addr, map { ord($_) } split(//,substr($buff,0x20)) ); + warn sprintf "loading oraoemu 1.3 dump %s at %04x - %04x %02x\n", $path, $addr, $addr+$size-1, $size; + $self->write_chunk( $addr, substr($buff,0x20) ); return; } - printf "loading %s at %04x - %04x %02x\n", $path, $addr, $addr+$size, $size; + printf "loading %s at %04x - %04x %02x\n", $path, $addr, $addr+$size-1, $size; return $self->write_chunk( $addr, $buff ); my $chunk; @@ -136,7 +195,7 @@ close($fh); my $size = -s $path; - printf "saved %s %d %x bytes\n", $path, $size, $size; + warn sprintf "saved %s %d %x bytes\n", $path, $size, $size; } =head2 hexdump @@ -167,7 +226,7 @@ my $self = shift; my $a = shift; my $last = shift; - print $self->hexdump( $a ), + print STDERR $self->hexdump( $a ), $last ? "[$last] " : '', "> "; my $in = ; @@ -177,6 +236,142 @@ return split(/\s+/, $in) if $in; } +=head1 Memory management + +Orao implements all I/O using mmap addresses. This was main reason why +L was just too slow to handle it. + +=cut + +=head2 read + +Read from memory + + $byte = read( $address ); + +=cut + +sub read { + my $self = shift; + my ($addr) = @_; + my $byte = $mem[$addr]; + warn sprintf("# Orao::read(%04x) = %02x\n", $addr, $byte) if $self->trace; + $self->mmap_pixel( $addr, 0, $byte, 0 ); + return $byte; +} + +=head2 write + +Write into emory + + write( $address, $byte ); + +=cut + +sub write { + my $self = shift; + my ($addr,$byte) = @_; + warn sprintf("# Orao::write(%04x,%02x)\n", $addr, $byte) if $self->trace; + + if ( $addr >= 0x6000 && $addr < 0x8000 ) { + $self->vram( $addr - 0x6000 , $byte ); + } + + if ( $addr > 0xafff ) { + warn sprintf "access to %04x above affff aborting\n", $addr; + return -1; + } + if ( $addr == 0x8800 ) { + warn sprintf "sound ignored: %x\n", $byte; + } + + $self->mmap_pixel( $addr, $byte, 0, 0 ); + + $mem[$addr] = $byte; + return; +} + +=head1 Command Line + +Command-line debugging intrerface is implemented for communication with +emulated device + +=head2 cli + + $orao->cli(); + +=cut + +my $last = 'r 1'; + +sub cli { + my $self = shift; + my $a = $PC || confess "no pc?"; + $self->app->sync; + while ( my @v = $orao->prompt( $a, $last ) ) { + my $c = shift @v; + my $v = shift @v; + $v = hex($v) if $v && $v =~ m/^[0-9a-f]+$/; + printf "## [%s] %s\n", ($v || 'undef'), join(",",@v) if $self->debug; + @v = map { hex($_) } @v; + if ( $c =~ m/^[qx]/i ) { + exit; + } elsif ( $c eq '?' ) { + warn <<__USAGE__; +uage: +x|q\t\texit +e 6000 6010\tdump memory, +/- to walk forward/backward +m 1000 ff 00\tput ff 00 on 1000 +j|u 1000\t\tjump (change pc) +r 42\t\trun 42 instruction opcodes +__USAGE__ + } elsif ( $c =~ m/^e/i ) { + $a ||= $v; + my $to = shift @v; + $to = $a + 32 if ( ! $to || $to <= $a ); + my $lines = int( ($to - $a - 8) / 8 ); + printf "## m %04x %04x lines: %d\n", $a, $to, $lines; + while ( $lines ) { + print $self->hexdump( $a ); + $a += 8; + $lines--; + } + $last = '+'; + } elsif ( $c =~ m/^\+/ ) { + $a += 8; + } elsif ( $c =~ m/^\-/ ) { + $a -= 8; + } elsif ( $c =~ m/^m/i ) { + $a = $v; + $self->poke_code( $a, @v ); + printf "poke %d bytes at %04x\n", $#v + 1, $a; + } elsif ( $c =~ m/^l/i ) { + my $to = shift @v || 0x1000; + $a = $to; + $self->load_oraoemu( $v, $a ); + } elsif ( $c =~ m/^s/i ) { + $self->save_dump( $v || 'mem.dump', @v ); + } elsif ( $c =~ m/^r/i ) { + $run_for = $v || 1; + print "run_for $run_for instructions\n"; + last; + } elsif ( $c =~ m/^(u|j)/ ) { + my $to = $v || $a; + printf "set pc to %04x\n", $to; + $PC = $to; # remember for restart + $run_for = 1; + last; + } elsif ( $c =~ m/^t/ ) { + $self->trace( not $self->trace ); + print "trace ", $self->trace ? 'on' : 'off', "\n"; + } else { + warn "# ignore $c\n"; + last; + } + } + + +} =head1 AUTHOR